FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
97

Fig. 126 : Modificarea IP-ului


  1. Când se ajunge la ultimul tab, se va apăsa butonul Re-Package IP, apoi apare fereastra Close
    Project, unde se apasă butonul Yes.

  2. S-a închis fereastra Vivado, unde am modificat codul componentei respective, iar acum am
    rămas doar cu fereastra în care este proiectul implementat. Deoarece componenta my_SSD_ip a
    fost modificată, trebuie apăsat pe Report IP Status (sau Show IP Status) în Block Design, după
    care, în tabul IP Status, apare butonul Upgrade Selected în partea de jos. Se apasă acest buton
    pentru a actualiza componenta cu ultimele modificări făcute. Apare fereastra Upgrade IP, unde
    se apasă OK

  3. Dacă nu apar pinii de ieșire în partea dreaptă a componentei, atunci componenta my_SSD_ip_0
    va trebui ștearsă și adăugată din nou.

  4. Se selectează Run Connection Automation, pas identic cu pasul 11 din Crearea unui proiect. În
    fereastra Run Connection Automation se lasă setările implicite și se apasă butonul OK.

  5. Se selectează fiecare pin al componentei my_SSD_ip_0, se dă click dreapta și se alege opțiunea
    Create Port. În fereastra ce apare se dă click pe OK.

  6. Se salvează diagrama, iar apoi se regenerează VHD Wrapper - > vezi Fig. 102

  7. Fișierul hdl creat / recreat va trebui selectat și pus ca top module (dacă lucrul acesta nu este deja
    realizat)

  8. După acest pas, urmează generarea bitstream, export hardware și apoi deschiderea SDK.

Free download pdf