FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
23

Fig. 29: Conexiunile și standardul pinilor de intrare / ieșire

După aceasta se va salva modificarea apăsând butonul Save, apoi apare fereastra Out of Date Design, pe
care se apasă OK, după care apare fereastra Save Constraints, unde se introduce numele “basys3_test_prj”,
iar apoi se apasă OK. În fereastra Sources va apărea fișierul basys3_test_Prj.xdc, fișier ce se poate deschide
dând dublu click pe el. Aici se va putea vedea textul de descriere a constrângerilor pe care tocmai le-am
creat.


Fig. 30: Locația fișierului de constrângeri

Dacă deja există un fișier de constrângeri ce are standardul logic și pinii de IO deja definiți pentru placa
utilizată, atunci nu mai este nevoie să parcurgem pașii anteriori. În acest caz, vom adăuga fișierul de
constrângeri urmând pașii:



  1. Alegem opțiunea Add Sources din Hierarchy (pas identic cu pasul 1 din Adăugarea unui
    fișier sursă)

  2. În fereastra Add Sources alegem opțiunea Add or create constraints:

Free download pdf