FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
27

Se selectează fișierul bit generat anterior din calea .../test/test.runs/impl_1/mux.bit (cel mai probabil
este selectat implicit), după care se apasă pe butonul Program. Imediat după acest pas, în fereastra
Hardware se vede cum statusul FPGA-ului se modifică din Not programmed în Programmed.


În acest moment avem FPGA-ul programat și putem să comutăm switch-urile pentru a verifica dacă, într-
adevăr, ledul se aprinde conform datelor de intrare ce vin de la switch-uri.


4.3. Generarea fișierului de programare pentru memoria FLASH


Pașii de urmat pentru generarea unui fișier de programare pentru memoria flash sunt următorii:


  1. Deschide setările din tabul Settings:


Fig. 34: Deschiderea setărilor


  1. În fereastra Settings selectează Bitstream și bifează opțiunea bin_file:

Free download pdf