FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
49

^
_Fig. 58: Simularea timpilor pentru VSync și enq


Desigur că această componentă, Sync_VGA, poate fi extinsă cu parametri generici și astfel va funcționa
pentru absolut orice rezoluție, cu condiția că utilizatorul acestei componente va introduce corect lungimea
pentru partea vizibilă, Front Porch, semnalul de sincronizare și Back Porch. Codul complet ce este
implementat cu parametri generici poate fi găsit în componenta Sync_VGA.vhd din Anexa.


În manualul de referință al Basys3 se poate vedea schema de conexiune de la FPGA la conectorul VGA
pentru pinii de sincronizare și pentru pinii RGB. Aici se poate observa că fiecare culoare e pe 4 biți, astfel
culoarea roșie are biții RED3, RED2, RED1 și RED0, verde are GRN3, GRN2, GRN1 și GRN0, iar albastru are biții
BLU3, BLU2, BLU1 și BLU0.


Fig. 59: Conexiunea către conectorul VGA

[https://reference.digilentinc.com/basys3/refmanual]

Tot aici se poate observa și transformarea culorilor din semnal digital în semnal analogic utilizând
rezistori proporționali, în funcție de importanța bitului respectiv. Astfel, rezistorul de 510 ohmi va fi utilizat
la pinul MSB.


Ceea ce avem în momentul de față generat de componeta Sync_VGA sunt adresele pe orizontală și pe
verticală, dar și semnalele HSYNC și VSYNC. Pentru a genera niște semnale RGB de test vom folosi doar
adresa pe orizontală – acest lucru va avea ca rezultat afișarea unor dungi pe verticală, deoarece semnalele
RGB vor avea valori identice la adresele de pe orizontală. De exemplu, dacă semnalul RGB va avea aceeași

Free download pdf