FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
57

Fig. 64 : : Afișarea reală pentru caracterul ‘0’

Pentru afișarea pe ecran trebuie în primul rând să reținem fiecare zonă a ecranului unde va fi făcută
afișarea, dar și ce caracter va fi afișat în fiecare zonă.


Pentru reținerea zonei unde va fi facută afișarea, vom avea un cod similar cu cel de mai jos:

process(PXCLK)
begin
if rising_edge(pxclk) then
-- afisarea caracterului ‘0’ se face in zona C46,61
if (HAddr(10 downto 4) = " 0111101 " and VAddr(9 downto 4) = " 101110 ") then
ROM _char_addr <= " 001 ";
-- afisarea caracterului ‘1’ se face in zona C1,3
if (HAddr(10 downto 4) = " 0000011 " and VAddr(9 downto 4) = " 000001 ") then
ROM _char_addr <= " 010 ";
Else
ROM_char_addr <= " 000 ";
end if;
end if;
end process;

În comentariul codului de mai sus se specifică zona în care se face afișarea caracterului ‘0’ și, respectiv
caracterul ‘1’. Dacă urmărim codul ROM și explicațiile de la subcapitolul anterior, vom vedea că adresa

Free download pdf