FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
58

caracterului ‘0’ este "001", adresa caracterului ‘1’ este "010", iar când nu se va afișa nimic, va trebui să
selectăm adresa "000".
Pentru a extrage valoarea fiecărui pixel din memorie pentru a-l afișa pe monitor sau nu, se folosește
adresa ROM_char_addr ce apare în codul anterior, astfel, când baleierea va ajunge la adresele specificate,
va fi extras caracterul dorit. Pentru extragerea pixelului de la adresa curentă, vom avea nevoie de o nouă
componentă, numită ROM_extract_pixel, cu următoarele porturi de intrare: adrLine[3:0], adrCol[3:0] și
adrChar[2:0], și cu portul de ieșire Q.


Astfel, conexiunea la adrChar va fi făcută de la ROM_char_addr, adrLine va fi HAddr[3:0] și adrCol[3:0]
va fi Vaddr[3:0].
Dacă există mai multe ROM-uri ce vor fi utilizate pentru afișare, atunci vor exista și mai multe
componente de tip ROM_extract_pixel. Astfel, afișarea pe ecran se va face combinându-se ieșirile tuturor
componentelor de acest tip utilizând OR logic.


Fig. 65: Schema de afișare a unui caracter

Codul complet al acestei componente se găsește în ROM_extract_pixel.vhd din anexă.
Free download pdf