FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
4

Prin matricea de rutare se poate crea legătura între orice CLB și oricare alt CLB. De fapt, se poate realiza
conexiunea între orice componentă și oricare altă componentă din FPGA, cu mici excepții, însă aceste
excepții nu vor fi aduse în discuție.


Fig. 5: Schema simplificată a unui FPGA
Free download pdf