FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
89

Fig. 112: Selectarea configurației dorite

După toți acești pași, vom avea în FPGA procesorul MicroBlaze programat. Astfel, resetul programului
din procesor se face prin apăsarea butonului din centru, aflat în grupul de 5 butoane din partea dreaptă – jos
a plăcii. Următorul pas este să deschidem terminalul de UART și să selectăm COM-ului corespunzător plăcii,
dar și baud rate-ul la 9600. După aceea, de fiecare dată când este apăsat butonul din centru, placa va trimite
către PC mesajul “Hello World”:


Fig. 113: Transmisia mesajului “Hello World”

După ce am testat că FPGA-ul și Microblaze funcționează conform așteptărilor, va trebui importat
exemplul de la led_gpio pornind cu pasul de la 108. După ce sunt urmați pașii respectivi, în fereastra
“Examples for gpio” apar 4 exemple ce pot fi selectate. Se va selecta primul dintre ele, după care se apasă
butonul OK.


Fig. 114: Adăugarea exemplelor pentru GPIO

Acum, în fereastra Project Explorer apare un nou proiect cu numele test_bsp_xgpio_example_1.
Free download pdf