FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat

(Cristian I.K_ntXI) #1
94

e. În câmpul Number of Registers, valoarea implicită este 4. În acest caz, prin regiștri se
poate controla din exterior componenta nou creată, utilizând interfața AXI. Având în
vedere că pe placă există 4 digiți și am dori ca fiecare digit să fie controlat cu o comandă
MicroBlaze, atunci vom folosi un registru pentru fiecare digit. Este dificil de adăugat alți
regiștri, astfel încât, dacă se va dori utilizarea mai multor regiștri, ar fi bine ca din start să
fie selectați mai mulți. Pentru moment vom utiliza doar acești 4 regiștri.

Fig. 122 : Selectarea opțiunilor pentru AXI


  1. Urmează fereastra Create Peripheral, unde trebuie apăsat Finish pentru crearea IP-ului.


Fig. 123 : Opțiunile selectate pentru interfața AXI4

Tot ce am făcut până acum a fost să creăm o componentă care are doar comunicație AXI, fără alte
funcționalități. Pentru a adăuga funcționalitatea dorită, va trebui ca, în fișierul VHDL corespunzător
componentei create, să fie adăugată componenta de control a SSD. Pentru aceasta, vor trebui urmați pașii
următori:



  1. Adaugă în block design IP-ul tocmai creat scriind în bara de search “ssd”, iar apoi selectează IP-ul
    găsit:

Free download pdf