FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat
r use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.numeric_std.ALL; entity testB_SSD_CTRL is -- Port ( ); end testB_SSD_CTRL; architect ...
s process(clk) begin if rising_edge(clk) then if cnt = x"ff" then data(3 downto 0) <= data(3 downto 0) + x"4"; data(7 downto ...
t set_property PACKAGE_PIN R3 [get_ports {data[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {data[11]}] set_property PACKAG ...
u use IEEE.numeric_std.ALL; entity counter is Generic(number_of_bits: integer := 8); Port ( clk : in STD_LOGIC; ce : in STD_LOGI ...
v Port ( clk : in STD_LOGIC; ce : in STD_LOGIC; r : in STD_LOGIC; q : out STD_LOGIC_VECTOR (number_of_bits - 1 downto 0)); end c ...
w end process; uut: counter Generic Map (number_of_bits => 8) Port Map( clk => clk, ce => ce, r => r, q => q); en ...
x HAddr <= HAddr_reg; VAddr <= VAddr_reg; en_q <= en_q_reg; sync: Sync_VGA Port Map( clk => clk, HSync => HSync, ...
y signal clk : STD_LOGIC := '0'; signal HSync : STD_LOGIC := '0'; signal VSync : STD_LOGIC := '0'; signal R, G, B : STD_LOGIC_VE ...
"0000000110000000", "0000000110000000", "0000000110000000", "0000000110000000", z (^) Internal "0000000000000000", "000000000000 ...
"0000000000000000", "0000000000110000", "0000000001110000", "0000000011110000", aa (^) Internal "0000000110000000", "00000001100 ...
bb "0000000110110000", "0000001100110000", "0000011000110000", "0000110000110000", "0001100000110000", "0001111111111000", "0000 ...
cc "0000000000000000", "0000000000000000", "0000111111111000", "0000100000011000", "0000000000011000", "0000000000110000", "0000 ...
dd "0000000000001100", "0000000000001100", "0000000000001100", "0000000000001100", "0011000000001100", "0001100000011000", "0000 ...
ee --caracterul----- 0 1 2 3 4 5 6 7 8 9. --pozitia-------- 0 1 2 3 4 5 6 7 8 9 10 11 entity ROM_extract_pixel is Port ( clk : i ...
ff data(3) WHEN "1100", data(2) WHEN "1101", data(1) WHEN "1110", data(0) WHEN "1111", 'X' WHEN OTHERS; x(8 downto 4) <= adrC ...
gg process(clkb) begin if(clkb'event and clkb = '1')then if(enb = '1') then do <= RAM(conv_integer(unsigned(addrb))); end if; ...
hh helloworld.c #include "xparameters.h" #include "xgpio.h" #include "xil_printf.h" #define LED 0x01 / Assumes bit 0 of GPIO is ...
ii use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; entity demo is Port ( CLK100MHZ : in STD_LOGIC; rst: in STD_LOGIC; ...
jj C : out STD_LOGIC_VECTOR (6 downto 0)); end component; component shift_reg is Generic(number_of_FF: integer := 16); Port ( cl ...
kk signal uart_tx_done: STD_LOGIC := '0'; signal vga_en_q: STD_LOGIC := '0'; signal ssd_display_counter: STD_LOGIC_VECTOR (31 do ...
«
2
3
4
5
6
7
8
9
10
11
»
Free download pdf