FPGA_VIVADO_SI_VHDL_Mihael_Cristian_Ignat
96 data(15 downto 12) <= slv_reg3(3 downto 0); ssd_inst: SSD_CTRL Generic Map(number_of_digits => 4) Port Map( clk => S ...
97 Fig. 126 : Modificarea IP-ului Când se ajunge la ultimul tab, se va apăsa butonul Re-Package IP, apoi apare fereastra Close ...
98 10. Utilizarea TCK Tot ce rulează în Vivado are în spate niște comenzi ale unui script numit tickle script, sau, pe scurt, Tc ...
a Anexa Aici se vor găsi codurile componentelor create în VHDL / C și fișierele de constrângeri, pentru a putea fi copiat codul ...
b begin if rising_edge(clk) then q <= d; end if; end process; end Behavioral; testB_ff.vhd library IEEE; use IEEE.STD_LOGIC_1 ...
c uut: ff Port map ( clk => clk, D => d, Q => q); end Behavioral; reg.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; en ...
d component ff Generic( data_width: positive := 1); Port ( clk : in STD_LOGIC; D : in STD_LOGIC_vector(data_width - 1 downto 0); ...
e ce : in STD_LOGIC; rot : in STD_LOGIC; load : in STD_LOGIC; load_data : in STD_LOGIC_VECTOR (number_of_FF - 1 downto 0); data ...
f -- Port ( ); end testB_shift_reg; architecture Behavioral of testB_shift_reg is component shift_reg Port ( clk : in STD_LOGIC; ...
g rst <= '0'; cnt_test <= cnt_test + '1'; else load <= '0'; rst <= '0'; end if; end if; end process; uut: shift_reg ...
h constant clk_divider: integer := system_clock / baud_rate; signal tx_clk_cnt: integer range 0 to clk_divider := 0; signal tx_c ...
i tx <= '0'; when S_b0 => tx <= data_buf(0); st <= S_b1; when S_b1 => tx <= data_buf(1); st <= S_b2; when S ...
j system_clock: integer; baud_rate: integer ); port ( RX : in std_logic; -- Receiver input. DATA : out std_logic_vector(7 downto ...
else cnt_sample <= 0; cnt_bit <= 0; end if; end if; end process; process(clk) begin if(rising_edge(clk)) then if(cnt_bit = ...
l C : out STD_LOGIC_VECTOR (7 downto 0)); end SSD_CTRL; architecture Behavioral of SSD_CTRL is constant max_sweeping_value: std_ ...
m digit_select(i + 1) <= digit_select(i); end loop; end if; end if; end process; digit_display: process(clk) begin if rising_ ...
n cb <= '0'; cc <= '0'; cd <= '1'; ce <= '1'; cf <= '0'; cg <= '0'; elsif data(i 4 + 3 downto i 4) = x"5" th ...
o cg <= '0'; else ca <= '1'; cb <= '1'; cc <= '1'; cd <= '1'; ce <= '1'; cf <= '1'; cg <= '1'; end if; e ...
p begin HAddr <= HAddr_buf; VAddr <= VAddr_buf; H_CNT: process(clk) begin if rising_edge(clk) then if HAddr_buf = (HVisibl ...
q use IEEE.STD_LOGIC_1164.ALL; entity testB_sync_vga is end testB_sync_vga; architecture Behavioral of testB_sync_vga is compone ...
«
1
2
3
4
5
6
7
8
9
10
»
Free download pdf